John's Basement
John's Basement
  • Видео 358
  • Просмотров 1 340 414
Z80 Nouveau Peripheral Shopping
A look at some ideas for peripheral parts that could be used on the Z80 Nouveau.
Z80 Nouveau Playlist: ruclips.net/p/PL3by7evD3F52rUbThUNDYGxNpKFF1HCNT
FPGA board video playlist: ruclips.net/p/PL3by7evD3F52On-ws9pcdQuEL-rYbNNFB
You can support this channel on Patreon! www.patreon.com/johnsbasement
Check out the discord: discord.gg/jf73DRZvh5
Music used in this video (Vibe Tracks, Alternate) was downloaded from the RUclips Audio Library.
Просмотров: 540

Видео

Opti-Tekscope Digital USB Microscope Camera Unboxing and Linux Testing
Просмотров 38421 час назад
It works with the regular Linux drivers and apps out of the box! I tested it with Cheese and ffmpeg on Ubuntu. It works as expected. The focus and zoom are great! Ambient room-lit circuit boards look great! It has a rolling shutter (so jerky horizontal movements can look wavy) and, as all USB cameras I have tried, it has a minor lag. I give it a thumbs up! I bought mine from Amazon (I do not re...
Z8S180, ICE40HX CP/M BIOS Port Public Release
Просмотров 57214 дней назад
Releasing the first runnable CP/M port for the Z80 Nouveau project. Github repo for this CPU board (and Verilog code): github.com/johnwinans/2067-Z8S180 Github CP/M repo with the code seen in this video: github.com/Z80-Retro/2063-Z80-cpm/tree/dev Github repo with the FPGA board seen in this video: github.com/johnwinans/2057-ICE40HX4K-TQ144-breakout Z80 Nouveau Playlist: ruclips.net/p/PL3by7evD3...
Z8S180, ICE40HX CP/M BIOS Port Part 4
Просмотров 38721 день назад
Booting code that was assembled from Z80 source code and loaded into a block RAM and testing the SRAM chip. Check out the discord: discord.gg/jf73DRZvh5 Github repo for this CPU board: github.com/johnwinans/2067-Z8S180 Github repo with the FPGA board that this plugs into: github.com/johnwinans/2057-ICE40HX4K-TQ144-breakout Github repo for the Verilog Examples: github.com/johnwinans/Verilog-Exam...
Z8S180, ICE40HX CP/M BIOS Port Part 3
Просмотров 623Месяц назад
Booting code that was assembled from Z80 source code and loaded into a block RAM and testing the SRAM chip. Check out the discord: discord.gg/jf73DRZvh5 Github repo for this CPU board: github.com/johnwinans/2067-Z8S180 Github repo with the FPGA board that this plugs into: github.com/johnwinans/2057-ICE40HX4K-TQ144-breakout Github repo for the Verilog Examples: github.com/johnwinans/Verilog-Exam...
Z8S180, ICE40HX CP/M BIOS Port Part 2
Просмотров 711Месяц назад
Booting code that was assembled from Z80 source code and loaded into a block RAM and testing the SRAM chip. Check out the discord: discord.gg/jf73DRZvh5 Github repo for this CPU board: github.com/johnwinans/2067-Z8S180 Github repo with the FPGA board that this plugs into: github.com/johnwinans/2057-ICE40HX4K-TQ144-breakout Github repo for the Verilog Examples: github.com/johnwinans/Verilog-Exam...
Z8S180, ICE40HX CP/M BIOS Port Part 1
Просмотров 1,5 тыс.Месяц назад
Booting code that was assembled from Z80 source code and loaded into a block RAM and testing the SRAM chip. Check out the discord: discord.gg/jf73DRZvh5 Github repo for this CPU board: github.com/johnwinans/2067-Z8S180 Github repo with the FPGA board that this plugs into: github.com/johnwinans/2057-ICE40HX4K-TQ144-breakout Github repo for the Verilog Examples: github.com/johnwinans/Verilog-Exam...
FPGA #19 - A look at the iCE40 Technology Library
Просмотров 914Месяц назад
Related Github repo: github.com/johnwinans/Verilog-Examples Verilog and other topics in this video are being discussed in the Nouveau project in this discord: discord.gg/jf73DRZvh5 You can support this channel on Patreon! www.patreon.com/johnsbasement This video is part of a RUclips Playlist: ruclips.net/p/PL3by7evD3F52On-ws9pcdQuEL-rYbNNFB Music used in this video (Vibe Tracks, Alternate) was ...
FPGA #18 - Verilog Finite State Machines Part 2
Просмотров 289Месяц назад
Implementing Verilog finite state machines. Related Github repo: github.com/johnwinans/Verilog-Examples My faculty page at NIU where I have also posted materials about finite state machines: faculty.cs.niu.edu/~winans/CS463/2022-fa/#fsm Verilog and other topics are being discussed in the Nouveau project in this discord: discord.gg/jf73DRZvh5 You can support this channel on Patreon! www.patreon....
FPGA #17 - Verilog Finite State Machines Part 1
Просмотров 5492 месяца назад
Implementing Verilog finite state machines. [I swear it was the "Zenith Colortrak System"... but maybe it was RCA?] Related Github repo: github.com/johnwinans/Verilog-Examples My faculty page at NIU where I have also posted materials about finite state machines: faculty.cs.niu.edu/~winans/CS463/2022-fa/#fsm Verilog and other topics are being discussed in the Nouveau project in this discord: dis...
FPGA #16 - Verilog case, casez, and casex
Просмотров 4442 месяца назад
The Verilog case constructs. Related Github repo: github.com/johnwinans/Verilog-Examples Verilog and other topics are being discussed in the Nouveau project in this discord: discord.gg/jf73DRZvh5 You can support this channel on Patreon! www.patreon.com/johnsbasement This video is part of a RUclips Playlist: ruclips.net/p/PL3by7evD3F52On-ws9pcdQuEL-rYbNNFB Music used in this video (Vibe Tracks, ...
FPGA #15 - Verilog Modules, Parameters, and Localparams
Просмотров 3812 месяца назад
Parameterization of modules can make them more reusable. Here is how to do it. Related Github repo: github.com/johnwinans/Verilog-Examples Verilog and other topics are being discussed in the Nouveau project in this discord: discord.gg/jf73DRZvh5 You can support this channel on Patreon! www.patreon.com/johnsbasement This video is part of a RUclips Playlist: ruclips.net/p/PL3by7evD3F52On-ws9pcdQu...
FPGA #14 - Verilog Always Pt. III (Synthesizable Design Patterns)
Просмотров 4163 месяца назад
Standard Synthesizable Verilog design patterns for latches and flip-flops. Related Github repo: github.com/johnwinans/Verilog-Examples You can support this channel on Patreon! www.patreon.com/johnsbasement This video is part of a RUclips Playlist: ruclips.net/p/PL3by7evD3F52On-ws9pcdQuEL-rYbNNFB Music used in this video (Vibe Tracks, Alternate) was downloaded from the RUclips Audio Library: ruc...
FPGA #13 - Verilog Always Pt. II (Nonblocking Assignments)
Просмотров 5323 месяца назад
Using the Verilog always construct with nonblocking assignments. Related Github repo: github.com/johnwinans/Verilog-Examples You can support this channel on Patreon! www.patreon.com/johnsbasement This video is part of a RUclips Playlist: ruclips.net/p/PL3by7evD3F52On-ws9pcdQuEL-rYbNNFB Music used in this video (Vibe Tracks, Alternate) was downloaded from the RUclips Audio Library: ruclips.net/u...
FPGA #12 - Verilog Always Pt. I (Combinational Circuits)
Просмотров 2,6 тыс.3 месяца назад
Using the Verilog always construct to define combinational circuits. Related Github repo: github.com/johnwinans/Verilog-Examples You can support this channel on Patreon! www.patreon.com/johnsbasement This video is part of a RUclips Playlist: ruclips.net/p/PL3by7evD3F52On-ws9pcdQuEL-rYbNNFB Music used in this video (Vibe Tracks, Alternate) was downloaded from the RUclips Audio Library: ruclips.n...
Z8S180 Breakout Board Testing Pt. III
Просмотров 7403 месяца назад
Z8S180 Breakout Board Testing Pt. III
Z8S180 Breakout Board Testing Pt. II
Просмотров 6143 месяца назад
Z8S180 Breakout Board Testing Pt. II
Z8S180 Breakout Board Testing Pt. I
Просмотров 7784 месяца назад
Z8S180 Breakout Board Testing Pt. I
Z8S180 Breakout Board Assembly
Просмотров 1 тыс.4 месяца назад
Z8S180 Breakout Board Assembly
FPGA #11 - Priority Routing Network
Просмотров 6264 месяца назад
FPGA #11 - Priority Routing Network
FPGA #10 - Verilog == and === operators
Просмотров 4624 месяца назад
FPGA #10 - Verilog and operators
FPGA #9 - Verilog Vectors & Arrays
Просмотров 9795 месяцев назад
FPGA #9 - Verilog Vectors & Arrays
FPGA #8 - Verilog Simulation & Timing
Просмотров 9685 месяцев назад
FPGA #8 - Verilog Simulation & Timing
FPGA #7 - FPGA Project Big Picture/Overview
Просмотров 3 тыс.6 месяцев назад
FPGA #7 - FPGA Project Big Picture/Overview
Z8S180 Breakout Board Cleanup
Просмотров 1,2 тыс.6 месяцев назад
Z8S180 Breakout Board Cleanup
FPGA #6 - Raspberry PI Toolchain Install Update
Просмотров 7316 месяцев назад
FPGA #6 - Raspberry PI Toolchain Install Update
FPGA #4 - ICE40HX Simple Breakout Board Schematic
Просмотров 2,9 тыс.10 месяцев назад
FPGA #4 - ICE40HX Simple Breakout Board Schematic
FPGA #3 - ICE40HX Architecture & Datasheet
Просмотров 2 тыс.10 месяцев назад
FPGA #3 - ICE40HX Architecture & Datasheet
Setting up a New Server Rack
Просмотров 1,3 тыс.11 месяцев назад
Setting up a New Server Rack
FPGA #5 - Surface Mount Soldering (parts 1 and 2)
Просмотров 1,7 тыс.11 месяцев назад
FPGA #5 - Surface Mount Soldering (parts 1 and 2)

Комментарии

  • @DennoWiggle
    @DennoWiggle 22 часа назад

    When you add the UART it would be fun to have an overclocking championship for the Z180. All of us with boards could post our top fmax.

  • @BobSmith-xd4sz
    @BobSmith-xd4sz День назад

    This is the Optitekscope we use! Nice video brother!

  • @DennoWiggle
    @DennoWiggle День назад

    Interesting video Mr. Basement. Definitely makes me want to do some more on the Nouveau project when I'm next able to.

  • @DAVIDGREGORYKERR
    @DAVIDGREGORYKERR День назад

    If we are going to do this then we mustn't blow the code protect fuse so we can reuse the FPGA as FPGAs are not cheap at the minute but the price will come down once there is a large supply of FPGAs.

    • @JohnsBasement
      @JohnsBasement День назад

      You got THAT right on security fuses!! Ya know, I hadn't thought about the supply return causing a price decrease.... Here's hoping!

  • @PebblesChan
    @PebblesChan День назад

    I added a USB memory Key Interface and MP3 Player to my Z80 Microbee using the FTDI VMUSIC module. It's very cool and handy!

  • @PebblesChan
    @PebblesChan День назад

    PS/2 Keyboard interface can be done at two levels. The first being a unidirectional mode from keyboard to host; in this mode the keyboard LEDS won't work but the keyboard make and break codes are sent to the host. The hardware required is just a bit of fundamental penny logic. The second being a bidirectional mode is more functional but is more complex and is often done using a coprocessor such as an 8042. If choosing to use a coprocessor I'd go with a Cypress PSoC 5LP giving you the option of using either PS/2 or USB modes and even have their own internal programmable logic blocks.

  • @PebblesChan
    @PebblesChan День назад

    Wiznet TCP/IP Ethernet offload modules are cool. I used them about a decade ago with Z80s using parallel I/O mode with great success. Apparently they're now even available in WiFi versions. The initial version came with a 2mm pin pitch. WATCH OUT!

  • @david-kotter3195
    @david-kotter3195 День назад

    have a look at the TN-VDP or PICO99 projects..

  • @andyhu9542
    @andyhu9542 2 дня назад

    I think the iCE40HX4K chip used is not going to handle everything on this list, especially the VGA part of it if you want decent graphics output. A point of comparison is the VERA project with 5K LEs. It generates sound and graphics and has little space left.

  • @DavidLatham-productiondave
    @DavidLatham-productiondave 2 дня назад

    As a person who drew buildings for a living for 12 years, i can tell you categorically that dimensions must be explicitly stated.

  • @DevEncryptionNull
    @DevEncryptionNull 2 дня назад

    Does your HDMI chip not support audio over HDMI? With that you wouldn't need a speaker to play sound.

    • @andyhu9542
      @andyhu9542 День назад

      Most of them support audio. You need to provide a digital sample stream via i2s, however.

  • @evlogiy
    @evlogiy 2 дня назад

    That's was both entertaining and educational. Thanks! ❤

  • @mattnottingham3663
    @mattnottingham3663 2 дня назад

    Not watched all of it yet, but what about ethernet? (Maybe a lot of software development...but would be cool....)

    • @JohnsBasement
      @JohnsBasement 2 дня назад

      @@mattnottingham3663 wait for it....

    • @andyhu9542
      @andyhu9542 2 дня назад

      @@JohnsBasement For ethernet I recommend something like the wiznet w6100. It handles TCP/IP stack for you thus greatly simplifying software development.

  • @0toleranz
    @0toleranz 2 дня назад

    I don’t know if you know but Ben Eater has a video showing the USB 1.1 fast mode on keyboards sou you could probably detect if the keyboard does PS2 otherwise „fall back“ to USB HID protocol in the FPGA implemented HID controller. I think this could be a fun project

    • @JohnsBasement
      @JohnsBasement 2 дня назад

      @@0toleranz USB is unappealingly complex. Note that Ben's video never discussed how to actually implement/use it.

    • @charlesdorval394
      @charlesdorval394 2 дня назад

      @@JohnsBasement I agree, especially compared to PS/2, I still have nightmare about USB descriptors lol

  • @chucktodd7329
    @chucktodd7329 2 дня назад

    John think about and I2C interface and SPI that handle all the protocol, so the z80 does not have to do bit banging. Also Micron MT29F4G01 4Gbit SPI flash memory, ~$4.00. this little chip has 512MB of storage in a 8mm x 6mm 8 pin dfn package. If you setup the SPI interface with 3:8 _CS_ decoder support it allows all kinds of maker expansion, displays, AD converters, GPIO, ... I'm laying out a Z180 board that will use a modified ROMWBW (bios ,, cp/m), found i needed more volatile and non-volatile storage 23aa04m or 23LCV04M 512KB SPI RAM (the LCV version has battery backup options). ROMWBW uses the Z180's ASI as the SPI interface, one issues is that the bit order is wrong for SPI, so you have to bit mirror each byte into or out of the ASI, I implemented a mirror in hardware so that a IN A,(nn) instruction is all that is needed to do the mirror op. I connected A[15..8] to D[0..7] thru a '573 . Chuck.

    • @PebblesChan
      @PebblesChan День назад

      It's not difficult to give a Z80 system hardware SPI functionality with minimal bit bashing. I did it about 40 years ago using penny logic so it is a doddle to get it working on a CPLD or FPGA. The design consists of shift registers to receive and transmit data with clocking circuit that outputs a programmable number of pulses set from an 8 bit D type flip-flop with the clocking circuit's frequency determined by a programmable clock divider which is set using an 8 bit D type flip-flop.

  • @ChrisJackson-js8rd
    @ChrisJackson-js8rd 2 дня назад

    to be honest i think you could have taken the serial manifesto even further. the fundamental differences how humans experience time as compared to other spatial dimensions make segmentation of data cheaper and easier, which in turn allows for greater information density in serially encoded data as proved by einstein in his theory of general clock skew 🤣

  • @ChrisJackson-js8rd
    @ChrisJackson-js8rd 2 дня назад

    i still remember my horror as a very young person when my cs teacher explained to me that i have executable code and data, and the memory has a beginning and an end. memory management is making sure that all code goes in the bottom and that data goes in the top, and then finding appropriate places where you can stop everything swap the whatever intermediate values out to disk to flush the memory

  • @ChrisJackson-js8rd
    @ChrisJackson-js8rd 3 дня назад

    does my memory fail me or is there an ibm lineprinter somewhere missing its paperfeed lol.....

  • @ChrisJackson-js8rd
    @ChrisJackson-js8rd 3 дня назад

    yep x86 implementations ive worked with have all had a similar convention about the always zero bit, it was even in the same place iirc

  • @Zjk580
    @Zjk580 3 дня назад

    is a decoder an multiplexer the same thing?

    • @JohnsBasement
      @JohnsBasement 2 дня назад

      With respect to basic digital logic circuits, a decoder with an enable input is a demultiplexer.

  • @NickMoore
    @NickMoore 4 дня назад

    Awesome series of tutorials. Thanks!

  • @cmsmith92
    @cmsmith92 6 дней назад

    Have you ever heard of the Cell2Jack product? It’s what I use to use an old rotary to make phone calls. It connects to my iPhone via bluetooth and can dial using the rotary phone and make outgoing calls as well as receiving calls. It’s definatley helpful when I accidentally left my phone on silent or if I’m in the basement,the rotary phone still rings.

  • @opti-tekscope
    @opti-tekscope 6 дней назад

    John, thank you so much for your fantastic and unbiased unboxing/review! It’s the best one we’ve ever had! We'd like to upgrade the stand as a small token of our appreciation for your support. Please contact us through our website or the contact on the box. Truly excellent!

  • @rty1955
    @rty1955 7 дней назад

    I maintain all the S-100 bus computers at a computer miseum. We have about a dozen altairs and about 18 IMSAIs with a boatload of S-100 cards. Jave tons of VT-100s, decwriters, ASR-33 teletypes. I work will all versions of CP/M even MP/M. Back in the day i wrotr ALL my code in assembler on an IBM Mainframe since 1969. When i built my IMSAI (hated altair becuase front panel was octal - so unatural) i wrote a maimframe emulator so i could test my mainframe code. This saved me much valuable maimframe test time. I even had a kennedy 9 track tape drive connected to it as well!

    • @JohnsBasement
      @JohnsBasement 7 дней назад

      That is cool! You should consider shooting some videos of various common repairs that new fans are likely to run into. For example, my Decprinter LA...uh... 75??? is having an issue where it works fine for a page or two and then starts slamming further and further to the left until it senses it has jammed and seizes up. I can probably guess that it is aging capacitors. But I'd rather not tear into it without some expert guidance.

  • @lamiiia3037
    @lamiiia3037 7 дней назад

    hello, in my final exam lecturer asked me that from lw x1 1(x2) where x=1 x=2, what is value of a3 and wd3 also srca and srcb. there was no additional info and i think its impossible to find them without it. and he said you should write but how?

    • @JohnsBasement
      @JohnsBasement 7 дней назад

      I don't understand the notation you are using in this question. x1 and x2 are register names. What does x=1 and x=2 mean? What are srca and srcb?

    • @lamiiia3037
      @lamiiia3037 7 дней назад

      @@JohnsBasement x1 register contains 1 and x2 2. srcA comes from rd1 srcB comes extender. its risc-v single cycle processor

    • @JohnsBasement
      @JohnsBasement 7 дней назад

      @@lamiiia3037 Well, it would depend on the implementation of the CPU. If it does not allow unaligned loads then the instruction would be illegal because it would be reading from x2+1 which would be 3 (not a multiple of 4). If the CPU *does* allow unaligned loads then it would put the 4-byte value read from address 3 into x1. To know the values you'd need to know what is in memory. See also: stackoverflow.com/questions/68245606/risc-v-ram-address-alignment-for-sw-sh-sb

  • @islamwaled5956
    @islamwaled5956 7 дней назад

    thank you

  • @kwan3217
    @kwan3217 8 дней назад

    I got a microscope like that about 12 years ago. It looks mechanically identical, and the images seem to be of similar quality. I use Cheese too! I think it's 640x480, but it's been great for me for inspecting surface mount solder joints. The papers for yours claim 640x480 and 1600x1200 resolution, but I bet you have to command it into higher-resolution. Some of your first images of the QFN chip show how hard it is to inspect those. One thing I did was put a sheet of clear plastic contact paper on the base plate, so that my boards don't short out if I inspect one while it's powered up.

    • @JohnsBasement
      @JohnsBasement 8 дней назад

      An insulated base is a good idea!

  • @EETechStuff
    @EETechStuff 8 дней назад

    Thanks John. Regarding recording, I noticed an "OBS" folder on your drive, so I'm assuming you use OBS for recording? I'm kinda scratching my head on the scripts discusssion. Does OBS not recognize this directly as a camera? Although I haven't had my morning coffee yet, so maybe I'm missing something obvious 😁

    • @JohnsBasement
      @JohnsBasement 8 дней назад

      Good eye! I used OBS a decade ago when I put a thumbnail of my face in the videos. I stopped that after my first playlist. The lighting was annoying and this channel is not about ME. It's about the projects! I also had issues with audio sync, wayland foolishness, and it talking to my PI cameras. So I scrapped it long ago.

    • @EETechStuff
      @EETechStuff 8 дней назад

      @@JohnsBasement Ahh, okay. BTW, I noticed the same unit is listed in Amazon for the same price under Andonstar brand, which as you probably know makes some really nice higher end digital scopes. Heck, for only $80 I think I need to buy one of these just for the rare occasions I might need it. Groovy. Thanks.

  • @sujunrobidas8823
    @sujunrobidas8823 8 дней назад

    Thank you sir!

  • @mikegore7669
    @mikegore7669 8 дней назад

    Latest Raspberry PI imager is available for Windows. The newer versions have a gear option button ypu can click on to cofigure wifi settings ssh etc. The newer Linux version does as well makes this task much easier then it was when you originally described this setup. I love this Z80 project! Thanks

  • @andymouse
    @andymouse 9 дней назад

    Howdy John ! been keeping an eye on you but its all to mathy complicated stuff Lol! This however was interesting as I have been following James and his games machine for a while and lots of people specially the new ones ask about this camera, so I figured I would see what you thought of it, and like everyone else your super stoked so that's great ! nice review and James is super nice bloke......cheers

    • @JohnsBasement
      @JohnsBasement 8 дней назад

      Thanks. Yeah. It is a better camera than the first one I tried some years ago.

  • @brokenperfectsh0t
    @brokenperfectsh0t 9 дней назад

    it work! thank you

  • @SyntheToonz
    @SyntheToonz 9 дней назад

    Webcam is a webcam. It SHOULD be plug-n-play. I'm suspicious of Windows and Mac needing anything on CD.

    • @JohnsBasement
      @JohnsBasement 9 дней назад

      I agree. But KNOWING is better than BELIEVING.

  • @spehropefhany
    @spehropefhany 9 дней назад

    Nice, thanks. For a practical use, I use one to help align solder stencils to the PCB. It helps to get a view from right overtop of the stencil aperture while adjusting the knobs and locking the position.

  • @bob_mosavo
    @bob_mosavo 9 дней назад

    Thanks 👍

  • @b213videoz
    @b213videoz 9 дней назад

    9:52 + x86 and x64 too - according to Intel, abominable AT&T syntax must be wiped off from the Earth

  • @kschristensen
    @kschristensen 9 дней назад

    Thank you for the great videos about Boolean Algebra - the link to the BooleanAlgebra.pdf is dead (yes, I know it's an old video :-)). Is it possible to download BooleanAlgebra.pdf from another link?

    • @JohnsBasement
      @JohnsBasement 9 дней назад

      Thanks for letting me know! I updated the description & its links. Assets related to this video can be found here: faculty.cs.niu.edu/~winans/CS463/2022-fa/#boolean

    • @kschristensen
      @kschristensen 9 дней назад

      @@JohnsBasement Great, thank you 🙂

  • @fzbucks
    @fzbucks 15 дней назад

    Thanks - had two phones that the kids have been playing with and wondered how easy it would be to get them to be able to talk to each other - looks pretty straight forward, shame the ringer would be too excessive to setup for them, they'll just have to keep shouting riiiiing riiiiiiinnnnnng like they do at the moment - thanks for the video

  • @horacepoon7044
    @horacepoon7044 16 дней назад

    Thanks for your explanation. It is very clear and helpful.

  • @andyhu9542
    @andyhu9542 18 дней назад

    "On odd numbered Thursdays, if you wave a fish over your head.." is hilarious...

  • @BB-iq4su
    @BB-iq4su 18 дней назад

    My youngest kid (about 10) was allowed to run "moon landing" on my IMSAI when I was at work . One of the power-caps burst into flames and my poor kid thought he broke my machine. We repaired it as a team. He caught on to electronics pretty fast.

  • @BB-iq4su
    @BB-iq4su 18 дней назад

    I had an IMSAI. Had a green monitor, used, a math-card, etc (all soldered by me) and I think largest memory I could get. I bought a used teletype with tape reader. I added two floppy drives. I rigged up an acoustic modem and each evening I down loaded commodity prices from a service (35 dollars a month). I wrote a program, in basic, that back traded 81 days for 14 commodities. The programs were "chained". that is, loaded run and exits,then reloaded a new set of commodities. Then the program spit out "buy, sell or hold" from the optimum trading parameters. Most "hold" BTW. The program was run each trading day after market close. The whole run time was 4 or 5 hours. In the morning, at 5AM I called in my trades for the day. I made a lot of money with that IMSAI.

    • @JohnsBasement
      @JohnsBasement 18 дней назад

      Cool! -- These were long gone by the time I worked at the CME: en.wikipedia.org/wiki/S-100_bus#Retirement BUT, I was there when someone was cleaning out an old set of cubicles and tossing CP/M manuals and machine parts into a garbage gurney. I grabbed what I could. -- My point is, you might have been talking to the same(ish) thing on the other end of those trades! 😂

  • @rty1955
    @rty1955 19 дней назад

    Octal is so un natural. Electronics back then was grouped by 4, not 3. I am well aware that DEC used octal as well as some other minis, still doesnt change the facts. IBM mainframes used Hexadecimal rotary knobs. At a museum I volunteer at we have MANY Altair & Imsai machines

    • @JohnsBasement
      @JohnsBasement 19 дней назад

      I agree. But I can see why Altair went with groups of 3. If you look at the 8080 opcode encoding, most of the instructions have one or two 3-bit values on the right side. So 2-3-3 makes some sense. 3-bit register numbers in the many move instructions for example. If you ONLY program those systems with the switches (as intended) then it is easier to remember the register numbers and not have do the mental math to get the lsb of one of the operands into the right hex digit and the other two bits in to the left hex digit.

  • @delta22551
    @delta22551 19 дней назад

    Nice video, do you recall where you purchased your hinged box? Looked around and couldn’t seem to find something similar, thanks!

    • @JohnsBasement
      @JohnsBasement 19 дней назад

      I got mine at allelectrinics.com. their inventory changes all the time. Watch the last 5 mins. I found a tolerable Elenco product with 22ga wire & a box with hinges. If you just want a decent box, search for products from "Plano." People like them for tackle boxes.

    • @delta22551
      @delta22551 19 дней назад

      @@JohnsBasement Awesome , never even thought about the Plano stuff!! Off to the google we go 😎

  • @RealStalin
    @RealStalin 21 день назад

    It was GREAT! Helped me a lot Thank you

    • @JohnsBasement
      @JohnsBasement 20 дней назад

      You're welcome! Glad I could help.

  • @heavymetalmixer91
    @heavymetalmixer91 22 дня назад

    I think I've never seen a better video about Lambdas on C++ in RUclips, thanks a lot.

  • @DennoWiggle
    @DennoWiggle 22 дня назад

    Congratulations Mr. Basement. Amazing you did all that in one day and ended up with it all working. Entertaining especially the celebration cackle at the end.🤣

  • @DennoWiggle
    @DennoWiggle 22 дня назад

    "That's one for The Wiggler". Hehehehehe. Background : I'd asked a question about state machine coding.

  • @jackrubin
    @jackrubin 22 дня назад

    Don't need a USB camera right now, but thanks for the intro to James Sharmin!

    • @JohnsBasement
      @JohnsBasement 22 дня назад

      James is building a pretty cool all discrete system.

  • @abzalomkyeuwkyeuw
    @abzalomkyeuwkyeuw 22 дня назад

    Are the maker of .pdf file?

    • @JohnsBasement
      @JohnsBasement 22 дня назад

      If you want to convert a postscript file into a pdf, you can use ps2pdf or the Adobe Acrobat distiller.